MAX+plusII10.0 Baseline的设计
发布时间:2006-10-14 7:51:23   收集提供:gaoqian

管立新,赖昭胜

赣南师范学院物理与电子信息科学系 

江西赣州 341000


  摘 要:讨论MAX+plusII10.0 Baseline的功能和特点,提出以VHDL设计语言为手段、以MAX+plusII10.0Baseline为平台的数字电路的设计方法。

  关键词:MAX+plusII;10.0 Baseline;数字电路设计;VHDL;CPLD/FPGA

  随着CPLD/FPGA器件的日益成熟和广泛应用,在通信、国防、工业自动化、仪器仪表等领域的数字电子系统设计工作中,他们正在成为电子设计领域的主要角色。基于强大的EDA技术的支持(如MAX+plusII10.0 Baseline),以VHDL硬件描述语言为主要设计手段,充分开发利用CPLD/FPGA芯片丰富而灵活的逻辑资源,成为当前数字系统设计的主要发展方向。

  1 MAX+plusII10.0 Baseline的功能和特点

  MAX+plusII10.0 Baseline是Altera公司研制的一种EDA开发系统,利用其配备的编辑、编译、仿真、综合、芯片编程等功能,将设计电路图或电路描述程序转换成基本的逻辑单元写入到可编程的芯片(如CPLD、FPGA)中,做成ASIC芯片,其主要的功能和特点为:

  (1)设计输入、编译、校验、仿真、器件编程与配置全部集成在统一的开发环境中,可以加快动态调试,缩短开发周期。

  (2)设计环境与芯片结构无关,他支持EPF10K,MAX7000,EPM9320,FLEX6000/A,MAX5000T和ClassicTM等可编程逻辑器件系列,编译程序还提供强大的逻辑综合与优化功能,使用户比较容易地将其设计集成到器件中。

  (3)有丰富的模块化设计工具和器件库。

  (4)支持VHDL,Verilog HDL和AHDL等硬件描述语言。

  (5)提供Megacore系统级功能。

  (6)具有开放性的特点,他允许设计人员添加自己的宏函数。

  2 MAX+plusII10.0 Baseline的数字电路设计流程

  (1)设计输入 用户可使用MAX+plusII10.0Baseline提供的图形编辑器和文本编辑器实现图形、AHDL、VHDL或Verilog HDL的输入,也可输入网表文件。

  (2)编译 为完成对设计的处理,MAX+plusII10.0 Baseline提供了一个完全集成的编译器,可直接完成从网表提取到最后编程文件的生成。在编译过程中生成一系列标准文件可进行时序模拟、适配等。

  (3)项目校验 项目校验过程包括功能和时序仿真,其作用是测试逻辑操作和设计的内部定时,若有错误则进行修改并重新编译。

  (4)项目编程 将设计的项目编程/配置到所选择的器件中。

  3 数字电路设计举例

  本例为4选1多路选择器。

  3.1 设计输入

  在Max+plusⅡ10.0 Baseline中以“Text Editor




  需要强调的是entity(实体)名必须与Project(项目)名称一致,否则将编译出错。

  3.2 电路的编译与适配

  (1)选择芯片型号

  选择当前项目文件欲设计实现的实际芯片进行编译适配,点击Assign\Device菜单选择芯片,对话框如图1所示。该例中我们选用FPGA芯片来实现,如Altera公司的FLEK10K系列的EPF10K10LC84-4芯片,只需在如图1所示的对话窗口中指出具体的芯片型号即可。



  (2)编译适配

  启动MAX+plus II\Compiler菜单,按Start开始编译,并显示编译结果,生成下载文件mux.sof,以备硬件下载编程时调用。同时生成mux.rpt报告文件,可详细查看编译结果,如有错误待修改后再进行编译适配。

  3.3 电路仿真

  电路仿真有前仿真(功能仿真)和后仿真(时序仿真)2种,时序仿真覆盖了功能仿真,在本例中我们直接使用时序仿真,启动MaxplusII\Wavefrom editor菜单,进入波形编辑窗口,添加欲仿真的I/O管脚并为电路输入端口添加激励波形,根据设计要求选择信号源种类。启动MAX+plus II\Simulator菜单,仿真结果如图2所示,从图中可知,在时序上已经很好地实现了我们程序设计的4选1多路器的功能,图中S为选择信号,X为输出信号,仿真通过后就可将设计结果编程/下载到目标器件中去。



  3.4 管脚的重新分配与定位

  管脚的重新分配与定位是指将输入/输出信号安排在器件的指定管脚上。启动MAX+plus II\Floorplan Editor菜单命令,平面布置图编辑器窗口被打开,如图3所示,单击工具条中的可显示当前的管脚分配/逻辑分配情况,所有管脚将会出现在“Unassigned Nodes”窗口中,在这里我们可以利用鼠标拖动的方法对EPF10K10LC84-4芯片的管脚重新分配与定位,管脚分配完毕后需要重新编译。



  3.5 器件的编程下载与硬件实现

  在通过项目编译后可生成文件mux.sof用于下载。在常用ALTERA公司的器件中,一类为MAX系列,另一类为FLEX系列。其中MAX系列为CPLD结构,编程信息以E2PROM方式保存,故对这类器件的下载为编程(Program)。本例采用FLEX10K系列的EPF10K10LC84-4有些类似于FPGA,其逻辑块LE及内部互连信息都是通过芯片内部的存储器单元阵列完成的,这些存储器单元阵列可由配置程序装入。存储器单元阵列采用SRAM方式,对这些器件的下载称为配置(Configure)。

  在本例中使用的是EPF10K10LC84-4芯片,下面对其进行配置。

  (1)将下载电缆一端插入LPT1(并行口),另一端插入开发系统板并打开其电源;

  (2)从“MAX+plus II”菜单下选择“Programmer”,可打开如图4所示的对话框;

  (3)单击“Configure”即可完成配置,至此已将项目配置到器件中,完成数字电路设计。

  4 结 语

  MAX+plusII10.0 Baseline为用户开发、使用CPLD/FPGA器件提供一个基于计算机的软件开发与操作平台。他是EDA设计中不可缺少的一种有用工具,利用MAX+plusII10.0 Baseline可以灵活而高效地设计电路,目前在国内使用较为普遍,各大高校也将其作为数字电路教学、课程设计、大学生电子设计竞赛和毕业设计的首选工具软件。



  参考文献

  [1] 付家才.EDA原理与应用[M].北京:化学工业出版社,2001.

  [2] 边计年,等.用VHDL设计电子线路[M].北京:清华大学出版社,2000.

  [3] 黄正谨,等.CPLD系统设计技术入门与应用[M].北京:电子工业出版社,2002.

  
摘自《现代电子技术》
 
1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50